快捷搜索:  

27亿元!asml公然映现高na euv光刻机:能制2nm以下工艺

"27亿元!asml公然映现高na euv光刻机:能制2nm以下工艺,这篇新闻报道详尽,内容丰富,非常值得一读。 这篇报道的内容很有深度,让人看了之后有很多的感悟。 作者对于这个话题做了深入的调查和研究,呈现了很多有价值的信息。 这篇报道的观点独到,让人眼前一亮。 新闻的写作风格流畅,文笔优秀,让人容易理解。 "

近日,全球光刻机大厂ASML首次在其荷兰总部向媒体公开展示了最新一代的High NA EUV光刻机。

除了已经率先获得全球首台High NA EUV光刻机的英特尔之外,台积电和三星订购High NA EUV预计最快2026年陆续到位,届时High NA EUV将成为全球三大晶圆制造厂达成2nm以下先进制程大规模量产的必备 武器 。

27亿!ASML公开展示高NA EUV光刻机:能造2nm以下工艺

ASML发言人Monique Mols在媒体参观总部时表示,一套High NA EUV光刻系统的大小等同于一台双层巴士,重量更高达150吨,相当于两架空中客车A320客机,全套系统需要250个货箱来装运,装机时间预计需要250名工程人员、历时6个月才能安装完成,不仅价格高昂也相当耗时。

根据此前的爆料显示,High NA EUV的售价高达3.5亿欧元一台,约合国人币27亿元。

Monique Mols解释称: 我们(We)不断进行(Carry Out)工程设计和开发,还有大量工作要做来校准它并确保它适合制造系统。 我们(We)和我们(We)的客户也有一个陡峭的学习曲线。 预计ASML今年(This Year)还将发货 一些 (High NA EUV系统),并且在定制和安装方面仍有工作要做。

27亿!ASML公开展示高NA EUV光刻机:能造2nm以下工艺

ASML CEO Peter Wennink表示,AI需要大量运算能力和数据储存,如果没有ASML将无法达成,这也是公司业务一大驱动力。ASML上季收到的EUV设备订单也创下了历史(History)新高。

英特尔在2023年12月已率先拿下了全球首台High NA EUV光刻机,并已经开始在英特尔俄勒冈州晶圆厂安装。

此前外界预计该设备将会被英特尔用于其最先进的Intel 18A制程量产,不过,日前英特尔CEO基辛格(Pat Gelsinger)在财报会议上宣布,Intel 18A预计将在2024年下半年达成制造就绪,但是并不是采用High NA EUV量产,该设备将会被应用于1.8nm以下的挑战。

27亿!ASML公开展示高NA EUV光刻机:能造2nm以下工艺

除了英特尔之外,台积电、三星等晶圆代工大厂在High NA EUV设备机台采购上则慢于英特尔。

业界指出,由于High NA EUV光刻机价格是当前EUV光刻机的两倍,这也意味着设备成本将大幅增加。

由于明年即将量产的2nm依然可以依赖于现有的EUV光刻机来完成,并且成本并不会大幅增加,这也是台积电、三星不急于导入High NA EUV光刻机的关键。

业界人士推测,台积电预计最快在1.4纳米(A14)才导入High NA EUV曝光机台,代表2025年才可望有采购设备的消息传出,若按照台积电先前对外释出的1.4纳米量产时间将落在2027年至2028年计划下,台积电的High NA EUV曝光机台交货时间可能落在2026年开始陆续交机。

不过,可以确定的是,ASML的High NA EUV光刻机已成为英特尔、台积电及三星等晶圆制造大厂进军2nm以下先进制程的必备武器,仅是大规模采用的时间先后顺序有所差别。

事实上,进入7nm以下后,台积电就开始导入EUV光刻设备,原因在于光罩曝光层数大幅增加,在至少20层以上的重复曝光需求下,孔径重复对准的精准度要求越来越高,这也让EUV光刻机成为了必备设备,不仅可以提高良率,也能降低生产成本。

对于High NA EUV系统,ASML此前也表示,其第一代High NA EUA(EXE:5000)的分辨率为 8nm,可以使芯片制造商能够简化其制造流程。并且,EXE:5000每小时可光刻超过 185 个晶圆,与已在大批量制造中使用的 NXE 系统相比还有所增加。

ASML还制定了到 2025 年将产能提高到每小时 220 片晶圆的路线图。这种生产力对于确保将高数值孔径集成到芯片工厂对于芯片制造商来说在经济(Economy)上可行至关重要。

不过,半导体研究机构SemiAnalysis的半导体设备和制造分析分析师Jeff Koch则表示: 虽然一些芯片制造商可能会更早地推出它,以试图获得技术领先地位,但大多数芯片制造商在它具有经济(Economy)意义之前不会采用它。

客户可以选择等待并从现有工具中获得更多收益。Jeff Koch通过自己的计算表示,只有在 2030 年至 2031 年左右从旧技术大规模转换之后才会变得具有成本效益。此外, 预计ASML 在 2027-2028 年投产的尖端晶圆厂全面采用前沿逻辑制程之后,可能会拥有足够的High NA EUV产能。

原本任职于ASML的Jeff Koch不久前还曾发布了一篇题为《ASML困境:High-NA EUV比Low-NA EUV多模式更糟糕》的文章中指出,现有的Low-NA EUV系统通过双重图案化技术,相比High NA EUV更具成本优势!

不过,ASML 首席执行官 Peter Wennink 今年(This Year)1月回复称,分析师可能低估了这项技术。 我们(We)目前(Currently)在与客户的讨论(Discuss)中看到的一切都是High NA EUV更据经济(Economy)效益。

ASML的High NA EUV产品管理负责人 Greet Storms在上周五表示,拐点将于 2026-2027 年左右到来。

27亿元!ASML公开展示高NA EUV光刻机:能造2nm以下工艺

您可能还会对下面的文章感兴趣:

赞(664) 踩(58) 阅读数(9963) 最新评论 查看所有评论
加载中......
发表评论

Fatal error: in /www/wwwroot/spider.com/mg.php on line 211